2023-12-16 16:06:28 add trixie-fpga dsc main source apycula 0.9.1+dfsg1-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga dsc main source gcli 2.0.0-2~bpo12+1 2023-12-16 16:06:28 add trixie-fpga dsc main source ghdl 3.0.0+dfsg2-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga dsc main source iverilog 12.0-2~bpo12+1 2023-12-16 16:06:28 add trixie-fpga dsc main source nextpnr 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga dsc main source prjtrellis 1.4-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga dsc main source yosys 0.33-5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga dsc main source yosys-plugin-ghdl 0.0~git20230419.5b64ccf-1~bpo12+2 2023-12-16 16:06:28 add trixie-fpga deb main riscv64 fpga-trellis 1.4-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main riscv64 fpga-trellis-database 1.4-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main riscv64 fpga-trellis-dbgsym 1.4-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main riscv64 nextpnr-ecp5 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main riscv64 nextpnr-ecp5-chipdb 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main riscv64 nextpnr-ecp5-dbgsym 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main riscv64 nextpnr-ecp5-qt 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main riscv64 nextpnr-ecp5-qt-dbgsym 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main riscv64 nextpnr-generic 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main riscv64 nextpnr-generic-dbgsym 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main riscv64 nextpnr-gowin 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main riscv64 nextpnr-gowin-chipdb 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main riscv64 nextpnr-gowin-dbgsym 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main riscv64 nextpnr-gowin-qt 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main riscv64 nextpnr-gowin-qt-dbgsym 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main riscv64 nextpnr-ice40 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main riscv64 nextpnr-ice40-chipdb 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main riscv64 nextpnr-ice40-dbgsym 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main riscv64 nextpnr-ice40-qt 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main riscv64 nextpnr-ice40-qt-dbgsym 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main riscv64 python3-apycula 0.9.1+dfsg1-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main riscv64 python3-pytrellis 1.4-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main riscv64 python3-pytrellis-dbgsym 1.4-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main riscv64 yosys-doc 0.33-5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main ppc64el fpga-trellis-database 1.4-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main ppc64el python3-apycula 0.9.1+dfsg1-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main ppc64el yosys-doc 0.33-5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 fpga-trellis 1.4-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 fpga-trellis-database 1.4-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 fpga-trellis-dbgsym 1.4-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 gcli 2.0.0-2~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 gcli-dbgsym 2.0.0-2~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 ghdl 3.0.0+dfsg2-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 ghdl-common 3.0.0+dfsg2-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 ghdl-gcc 3.0.0+dfsg2-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 ghdl-gcc-dbgsym 3.0.0+dfsg2-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 ghdl-llvm 3.0.0+dfsg2-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 ghdl-llvm-dbgsym 3.0.0+dfsg2-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 ghdl-mcode 3.0.0+dfsg2-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 ghdl-mcode-dbgsym 3.0.0+dfsg2-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 ghdl-tools 3.0.0+dfsg2-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 ghdl-tools-dbgsym 3.0.0+dfsg2-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 iverilog 12.0-2~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 iverilog-dbgsym 12.0-2~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 libghdl-3-0-0 3.0.0+dfsg2-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 libghdl-3-0-0-dbgsym 3.0.0+dfsg2-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 libghdl-dev 3.0.0+dfsg2-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 nextpnr-ecp5 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 nextpnr-ecp5-chipdb 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 nextpnr-ecp5-dbgsym 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 nextpnr-ecp5-qt 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 nextpnr-ecp5-qt-dbgsym 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 nextpnr-generic 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 nextpnr-generic-dbgsym 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 nextpnr-gowin 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 nextpnr-gowin-chipdb 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 nextpnr-gowin-dbgsym 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 nextpnr-gowin-qt 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 nextpnr-gowin-qt-dbgsym 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 nextpnr-ice40 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 nextpnr-ice40-chipdb 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 nextpnr-ice40-dbgsym 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 nextpnr-ice40-qt 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 nextpnr-ice40-qt-dbgsym 0.6-3~ecp5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 python3-apycula 0.9.1+dfsg1-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 python3-pytrellis 1.4-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 python3-pytrellis-dbgsym 1.4-1~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 yosys 0.33-5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 yosys-abc 0.33-5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 yosys-abc-dbgsym 0.33-5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 yosys-dbgsym 0.33-5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 yosys-dev 0.33-5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 yosys-doc 0.33-5~bpo12+1 2023-12-16 16:06:28 add trixie-fpga deb main amd64 yosys-plugin-ghdl 0.0~git20230419.5b64ccf-1~bpo12+2 2023-12-16 16:06:28 add trixie-fpga deb main amd64 yosys-plugin-ghdl-dbgsym 0.0~git20230419.5b64ccf-1~bpo12+2 2023-12-19 22:47:36 remove trixie-fpga deb main amd64 gcli 2.0.0-2~bpo12+1 2023-12-19 22:47:36 remove trixie-fpga deb main amd64 gcli-dbgsym 2.0.0-2~bpo12+1 2023-12-19 22:47:36 remove trixie-fpga dsc main source gcli 2.0.0-2~bpo12+1